ディジタル数値演算回路の実用設計: 四則演算、初等超越関数、浮動小数点演算の作りかた (Design Wave Advance)

著者 :
  • CQ出版 (2006年11月1日発売)
3.50
  • (1)
  • (1)
  • (4)
  • (0)
  • (0)
本棚登録 : 32
感想 : 2

多くのディジタル回路の教科書における演算回路の解説は加減算回路にとどまるのに対し、本書は乗除算回路や浮動小数点演算回路なども扱った実用書である。Verilog HDLのソースコードも掲載されており、具体的な回路がどうなっているのか理解することができる。(電子情報学専攻)

配架場所:工2・図書室
請求記号:548.22:Su96

◆東京大学附属図書館の所蔵情報はこちら
https://opac.dl.itc.u-tokyo.ac.jp/opac/opac_details/?reqCode=fromlist&lang=0&amode=11&bibid=2002323185&opkey=B149033347311326&start=1&totalnum=1&listnum=0&place=&list_disp=20&list_sort=6&cmode=0&chk_st=0&check=0

読書状況:未設定 公開設定:公開
カテゴリ: 電子情報学専攻(H24)
感想投稿日 : 2012年9月25日
本棚登録日 : 2012年9月25日

みんなの感想をみる

コメント 0件

ツイートする